eBeam Initiative Members Present Collaborative Results At SPIE BACUS Photomask Technology Symposium 2012
Proof points at BACUS demonstrate progress in meeting eBeam Initiative roadmap to address mask quality and cost requirements at the 20-nm node and beyond
SAN JOSE, Calif., Sept. 4, 2012 /PRNewswire/ -- The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced that several of its members will present the latest eBeam breakthroughs in mask technologies at the SPIE/BACUS Photomask Technology Symposium. The collaborative results demonstrate significant progress in meeting several key milestones outlined in the eBeam Initiative roadmap, which was unveiled earlier this year to help guide the semiconductor eBeam ecosystem in developing new techniques to improve mask accuracy and write times, as well as bend the mask cost curve, at the 20-nm node and beyond. The SPIE/BACUS Photomask Technology Symposium will be held September 11-13 at the Monterey Marriott Hotel in Monterey, Calif.
According to Aki Fujimura, CEO of D2S, Inc., managing company sponsor of the eBeam Initiative, "With the complexities and costs associated with advanced mask manufacturing skyrocketing at the 20-nm node and below, new eBeam-based techniques have become increasingly critical to improving mask accuracy and wafer quality while maintaining practical write times. We're pleased to see the efforts of eBeam Initiative members coming to fruition to meet these challenges—highlighted by a number of advances being announced at BACUS. eBeam technologies are more sophisticated and more valuable than ever before in the semiconductor manufacturing supply chain."
At the SPIE/BACUS Photomask Technology Symposium 2012, eBeam Initiative members will present numerous papers, including:
Tuesday, September 11
- 9:30 am, Session 3, Patterning – "Improving mask CD uniformity using MB-MDP for 14nm node and beyond," presented by Samsung Electronics and D2S [8522-04]
- 10:40 am, Session 3, Patterning – "An enhanced measure of mask quality using separated models," presented by Samsung Electronics and D2S [8522-06]
- 6:00 – 7:30 pm, Poster Session, Mask Data Preparation – "Enhancement of mask process correction (MPC) through dose modulation of already geometrically correct layout data," presented by SoftJin [8522-77]
- 6:00 – 7:30 pm, Poster Session, Metrology – "Photomask quality evaluation using lithography simulation and precision SEM image contour data," Advantest and D2S [8522-81]
- 6:00 – 7:30 pm, Poster Session, Mask Pattern Generators – "Proximity effect correction optimizing image quality and writing time for an electron multi-beam mask writer," presented by Synopsys and IMS Nanofabrication [8522-87]
- 6:00 – 7:30 pm, Poster Session, Mask Pattern Generators – "Evaluation of CP shape correction for e-beam writing," presented by Advantest and D2S [8522-88]
Wednesday, September 12
- 2:40 pm, Session 8, Simulation and Modeling – "Advanced module for model parameter extraction using global optimization and sensitivity analysis for electron-beam proximity effect correction," presented by Aselta Nanographics, Laboratoire des Technologies de la Microelectronique CNRS and Fraunhofer CNT [8522-37]
Thursday, September 13
- 10:40 am, Session 12, Mask Pattern Generators – "Proposal to extend the loading effect correction in EBM-8000," presented by NuFlare Technology [8522-51]
- 11:00 am, Session 12, Mask Pattern Generators – "Printing results of a proof-of-concept 50keV electron multi-beam mask exposure tool (eMET POC)," presented by IMS Nanofabrication [8522-52]
- 11:20 am, Session 12, Mask Pattern Generators – "Shape-dependent dose margin correction using model-based mask data preparation," presented by HOYA and D2S [8522-53]
A more complete listing of eBeam Initiative member presentations is available on the eBeam Initiative website at www.ebeam.org/docs/ebeam_at_bacus_2012.pdf.
About The eBeam Initiative
The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem. Members and advisors, which span the semiconductor ecosystem, include: Abeam Technologies, Advantest, Alchip Technologies, AMTC, Applied Materials, Artwork Conversion, Aselta Nanographics, Cadence Design Systems, CEA-Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, e-Shuttle, Jack Harding from eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, HOYA Corporation, IMS CHIPS, IMS Nanofabrication AG, JEOL, KLA-Tencor, Mentor Graphics Corporation, Multibeam Corporation, NCS, NuFlare Technology, Petersen Advanced Lithography, Colin Harris from PMC-Sierra, Riko Radojcic from Qualcomm, Samsung Electronics, SoftJin Technologies, STMicroelectronics, Synopsys, tau-Metrix, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam Lithography Group, and Xilinx. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit www.ebeam.org.
SOURCE The eBeam Initiative
WANT YOUR COMPANY'S NEWS FEATURED ON PRNEWSWIRE.COM?
Newsrooms &
Influencers
Digital Media
Outlets
Journalists
Opted In
Share this article